VERILOG=iverilog GTKWAVE=gtkwave #GTKWAVE=winwave VVP=vvp V=tb_ifsmplvw.v ifsmplvw.v HWARCH=multicycle #HWARCH=multicycle2 #HWARCH=multicycle3 #HWARCH=pipeline #HWARCH=pipeline2 #HWARCH=pipeline3 all: tb_ifsmplvw.vcd ifsmplvw.v: ifsmplvw_$(HWARCH).v cp ifsmplvw_$(HWARCH).v $@ tb_ifsmplvw.vvp: $(V) $(VERILOG) -o $@ $(V) tb_ifsmplvw.vcd: tb_ifsmplvw.vvp $(VVP) tb_ifsmplvw.vvp > tb_ifsmplvw.log cp tb_ifsmplvw.log tb_ifsmplvw_$(HWARCH).log wave: tb_ifsmplvw.vcd tb_ifsmplvw.sig $(GTKWAVE) tb_ifsmplvw.vcd tb_ifsmplvw.sig clean: rm -f *.vvp *.vcd *~ *.bak *.log a.out a.exe core *.stackdump