(C) 2017, 2018 by T.Izumi   ver.2018.8.23
Displaying "Hello world" is the first code to be written by a beginer who starts to learn the progrmming language. So, here is a sample code written in Verilog to display "Hello world".
プログラミング言語を勉強する初心者が最初に書くのが“Hello world”を表示するプログラム。なので、Verilogで“Hello world”を表示するコードを書いてみた。
Izumi Lab, Dept. Elec.&Comp. Eng., Ritsumeikan Univ.
FPGA board | ZYBO | ZYBO Z7 020 +
Pmod VGA connect Pmod VGA to JD and JE on ZYBO Z7 |
Nexys4 |
---|---|---|---|
tools tested | Vivado 2016.4 | Vivado 2017.4 | Vivado 2017.4 |
// (C) Tomonori Izumi |
// (C) Tomonori Izumi |
# parts XC7Z010-1CLG400C ##Clock signal #IO_L11P_T1_SRCC_35 set_property PACKAGE_PIN L16 [get_ports CLK125M] set_property IOSTANDARD LVCMOS33 [get_ports CLK125M] create_clock -period 8.000 -name sys_clk_pin -waveform {0.000 4.000} -add [get_ports CLK125M] ##VGA Connector set_property PACKAGE_PIN M19 [get_ports {vga_r[0]}] set_property PACKAGE_PIN L20 [get_ports {vga_r[1]}] set_property PACKAGE_PIN J20 [get_ports {vga_r[2]}] set_property PACKAGE_PIN G20 [get_ports {vga_r[3]}] set_property PACKAGE_PIN F19 [get_ports {vga_r[4]}] set_property PACKAGE_PIN H18 [get_ports {vga_g[0]}] set_property PACKAGE_PIN N20 [get_ports {vga_g[1]}] set_property PACKAGE_PIN L19 [get_ports {vga_g[2]}] set_property PACKAGE_PIN J19 [get_ports {vga_g[3]}] set_property PACKAGE_PIN H20 [get_ports {vga_g[4]}] set_property PACKAGE_PIN F20 [get_ports {vga_g[5]}] set_property PACKAGE_PIN P20 [get_ports {vga_b[0]}] set_property PACKAGE_PIN M20 [get_ports {vga_b[1]}] set_property PACKAGE_PIN K19 [get_ports {vga_b[2]}] set_property PACKAGE_PIN J18 [get_ports {vga_b[3]}] set_property PACKAGE_PIN G19 [get_ports {vga_b[4]}] set_property PACKAGE_PIN P19 [get_ports vga_hs] set_property PACKAGE_PIN R19 [get_ports vga_vs] set_property IOSTANDARD LVCMOS33 [get_ports {vga_r[*]}] set_property IOSTANDARD LVCMOS33 [get_ports {vga_g[*]}] set_property IOSTANDARD LVCMOS33 [get_ports {vga_b[*]}] set_property IOSTANDARD LVCMOS33 [get_ports vga_hs] set_property IOSTANDARD LVCMOS33 [get_ports vga_vs] |